もっと詳しく
Thank you IT home netizens OC_Formula The clue is delivered!

IT House April 28 news, at the M1 Ultra official conference, Apple said when introducing the M1 Ultra in its Mac Studio, this is the most powerful custom Apple Silicon, which uses UltraFusion chip-to-chip interconnect technology, thereby A bandwidth of 2.5TB/s is achieved.

From the introduction, this involves two M1 Max chips working together. TSMC has now confirmed that the Apple M1 Ultra chip is not actually produced in a traditional CoWoS-S 2.5D package, but instead uses a local chip interconnect (LSI) integrated InFO (Integrated Fan-out) chip.

IT House has learned that Apple’s latest M1 series products are based on TSMC’s 5nm process technology, but some media said before that it generally uses TSMC’s CoWoS-S (chip-on-wafer-on substrate with silicon interposer) packaging process. Of course, TSMC has extensive experience in using its CoWoS packaging platform to serve a variety of chip solution providers such as networking ICs and ultra-large AI chips, and TSMC has also been using advanced processes and InFO_PoP technology to make iPhone chips.

There are actually many ways to bridge chipsets to communicate with each other, but TSMC’s InFO_LI can keep costs down. Semiconductor packaging engineering professional Tom Wassick released a PPT presented by TSMC at the International Symposium on 3D IC and Heterogeneous Integration, illustrating its packaging methods, showing that Apple used InFO_LI technology this time.

Overall, CoWoS-S is a very good method, but more expensive than InFO_LI. In addition to this, there is no need for Apple to choose CoWoS-S, after all, the M1 Ultra only needs to complete the communication between the two M1 Max chips, and all other components, including unified RAM, GPU and other components are part of the chip, So unless the M1 Ultra switches to a new multi-chip design and faster memory like HBM, InFO_LI is a better choice for Apple.

Specifically, InFO-LSI technology needs to associate a local LSI (silicon interconnection) with a redistribution layer RDL (redistribution layer). The main advantage of InFO-LSI is its lower cost compared to CoWoS-S.

CoWos-S requires a lot of large interposers made entirely of silicon, so it’s very expensive; but InFO_LI makes do with localized chip interconnect technology, which doesn’t make much of a difference overall.

It is worth mentioning that Bloomberg’s Mark Gurman said that Apple’s new generation of Mac Pro is ready, and it will be equipped with a stronger chip, which is the “successor” of the M1 Ultra. It is said that this product is code-named J180, and previous information suggests that this product will be mass-produced using TSMC’s next-generation 4nm process instead of the current 5nm process.

Rumor has it that the new Apple chip will feature two M1 Ultras combined (4 M1 Max). Gurman said earlier that the workstation will use a custom chip that will support up to a 40-core CPU and a 128-core GPU, the performance is worth looking forward to, and the pricing is equally beautiful.

.
[related_posts_by_tax taxonomies=”post_tag”]

The post TSMC confirms that Apple M1 Ultra uses InFO-LSI package, connecting two pieces of M1 Max together appeared first on Gamingsym.