IT House May 29 news, the semiconductor industry has spent more than a decade preparing extreme ultraviolet (EUV) lithography technology, and the new high numerical aperture EUV lithography (High-NA EUV) technology will be more than this. quick.
At present, the most advanced chips are 4/5 nanometer-scale technology, and Samsung and TSMC will also produce 3nm technology in the second half of the year, while for Twinscan NXE:3400C and similar systems using ASML EUV lithography technology, they mostly have 0.33 NA. (numerical aperture) optics that provide 13 nm resolution.
Currently, this resolution size is sufficient for single mode at the 7 nm / 6 nm node (36 nm ~ 38 nm) and 5 nm (30 nm ~ 32 nm), but with pitches below 30 nm (over 30 nm) 5 nm class nodes), 13 nm resolution may require double exposure technology, which is the mainstream method for the next few years.
For the post-3nm era, ASML and its partners are developing a brand new EUV lithography machine, the Twinscan EXE:5000 series, which will feature a 0.55 NA (high NA) lens with a resolution of 8nm, enabling the Avoid double or multiple exposures as much as possible in nm and above nodes.
IT House understands that both Samsung and TSMC’s technology can currently use single-exposure EUV technology (NXE 3400C), but when the node process advances to 5nm, double-exposure technology needs to be introduced. For major foundries, the main goal is to avoid double or multiple exposures as much as possible.
Of course, our current 193nm immersion DUV can also achieve 7nm process through multiple exposures, which is also the technology used by TSMC in the early 7nm process, but this technology is more complicated, and it has great implications for yield, equipment, cost, etc. This is also the biggest advantage of the current EUV technology compared with DUV.
Since 2011, 22nm and 16nm/14nm FinFET transistor structures have been used in chip fabrication. The structure is somewhat fast and low energy consumption. But the disadvantage is also obvious, the manufacturing difficulty is too high. It is precisely because of this that the upgrade to the node process has been extended from the previous 18 months to 2.5 years or more. For smaller transistor structures, the nanothread structures on the reticle (mask) in photolithography also become denser, which gradually exceeds the resolution under the same light source conditions, resulting in blurring of the structure obtained by photolithography on the wafer . As a result, chipmakers are turning to multiple-exposure techniques, where the microstructure spacing on the original mask is widened, and two or more mask distributions are used to expose the entire set of transistors onto the wafer.
Although ASML plans to manufacture a prototype of the next-generation High-NA lithography machine next year, it is the product of a global cutting-edge industry. They are very complex, very large and expensive – each will cost more than 400 million In dollars, the delivery alone would require three Boeing 747s to load.
In addition, High-NA requires not only new optics, but also new light source materials, such as an optical system composed of polished, ultra-smooth curved mirrors fabricated in vacuum by Carl Zeiss in Germany, and even new updates. Large workshops to accommodate such machines would require significant investment.
However, in order to maintain the advantages of semiconductor performance, power, area and cost (PPAc), the leading manufacturers are still willing to pay for new technologies, which are of great significance for the post-3nm and other critical nodes. Therefore, whether it is Intel, Samsung or TSMC that has already been decided, the demand for it is very high.
A few weeks ago, ASML disclosed its earnings for the first quarter of 2022, saying that it had received orders for the High-NA Twinscan EXE:5200 system (EUV 0.55 NA) from multiple customers.
According to Reuters, ASML clarified last week that they had secured pilot orders for five High-NA products, expected to be delivered in 2024, and had “more than five” orders to be delivered from 2025 for “more” High Productivity” follow-up model.
Interestingly, as early as 2020~2021, ASML stated that it has received high-NA intentional orders from three customers, providing up to 12 systems in total. What is certain for now is that Intel, Samsung, and TSMC are bound to win the pre-production High-NA machines in 2020-2021.
In addition, ASML has already started production of its first High-NA lithography system, which is expected to be completed (prototype) in 2023 and will be used by Imec and ASML customers for R&D purposes.
“We are making good progress with High-NA EUV and have now started building the first High-NA lithography in our new clean space in Wildhoven,” said Peter Wennink, CEO of ASML. “In the first quarter, we received multiple orders for EXE:5200 systems. We also received additional EXE:5200 orders this month. We currently have High- NA order. The EXE:5200 is ASML’s next-generation high NA system and will provide the next step in lithography performance and productivity.”
ASML’s Twinscan EXE:5200 is much more complex than the normal Twinscan NXE:3400C machines, so it also takes longer to build these machines. The company hopes to deliver 20 High-NA systems in the medium term, which could mean its customers will have to compete.
“We are also in discussions with our supply chain partners to ensure delivery capacity of around 20 EUV 0.55NA systems in the medium term,” Wennink said.
So far, the only confirmed use of ASML High-NA lithography machine is Intel 18A node, Intel plans to enter high-volume production in 2025, and ASML will start shipping its High-NA EUV system around that time. But recently Intel has pushed back production planning for its 18A to the second half of 2024, and said it could use ASML’s Twinscan NXE:3600D or NXE:3800E for production, possibly via multiple exposure mode.
From this point of view, Intel’s 18A technology will undoubtedly benefit greatly from the High-NA EUV tool, but it is not entirely inseparable from the Twinscan EXE:5200 machine. In business, although the 18A does not necessarily require new machines, the multiple exposure model means longer product cycles, lower productivity, higher risk, lower yields, and harder competition. Therefore, Intel must also hope that its 18A node will arrive as soon as possible, so as to recast its former glory and regain its former position from TSMC.
“$400 million each: ASML’s next-generation High-NA lithography machine is expected to debut in the first half of 2023”
.
[related_posts_by_tax taxonomies=”post_tag”]
The post ASML shares the latest progress of High-NA EUV lithography machine: target to enter the factory in 2024-2025 appeared first on Gamingsym.